首页建筑工程考试注册电气工程师电气工程公共基础
(单选题)

可编程逻辑阵列PLA可以实现用()表示的逻辑电路。

A与非-与非表达式

B或非-或非表达式

C与—或—非表达式

D标准与—或表达式

正确答案

来源:www.examk.com

答案解析

相似试题

  • (单选题)

    可编程(与、或阵列皆可编程)的可编程逻辑器件有()。

    答案解析

  • (多选题)

    半场可编程(与、或阵列皆可编程)的可编程逻辑器件有()。

    答案解析

  • (单选题)

    用PLA进行逻辑设计时,应将逻辑函数表达式变换成()式。

    答案解析

  • (多选题)

    逻辑表达式Y=AB可以用()实现。

    答案解析

  • (单选题)

    在组合逻辑电路的常用设计方法中,可以用()来表示逻辑抽象的结果。

    答案解析

  • (多选题)

    可编程逻辑器件GAL16V8可以定义成()器件。

    答案解析

  • (多选题)

    下列PLD电路的或阵列可编程的器件是()。

    答案解析

  • (多选题)

    下列PLD电路的与阵列可编程的器件是()。

    答案解析

  • (简答题)

    简述用译码器或多路选择器实现组合逻辑电路的不同之处。

    答案解析

快考试在线搜题